Difference between revisions of "DCM module with internal line as CLKIN"

From Tech
Jump to navigationJump to search
(Created page with "If you get these errors: <nowiki>ERROR:NgdBuild:770 - IBUFG 'Inst_DCM71/CLKIN_IBUFG_INST' and BUFG 'Inst_DCM100/CLKFX_BUFG_INST' on net 'clk100' are lined up in series. Buffe…")
 
 
Line 4: Line 4:
 
of the same direction cannot be placed in series.
 
of the same direction cannot be placed in series.
 
ERROR:NgdBuild:924 - input pad net 'clk100' is driving non-buffer primitives:</nowiki>
 
ERROR:NgdBuild:924 - input pad net 'clk100' is driving non-buffer primitives:</nowiki>
  +
  +
Then all you need to do is comment out the following lines from your DCM.vhdl file:
  +
<nowiki> -- CLKIN_IBUFG_INST : IBUFG
  +
-- port map (I=>CLKIN_IN,
  +
-- O=>CLKIN_IBUFG);</nowiki>
  +
and replace CLKIN_IBUFG with CLK_IN in the port map, and remove the other CLKIN_IBUFG references.

Latest revision as of 00:18, 24 April 2013

If you get these errors:

ERROR:NgdBuild:770 - IBUFG 'Inst_DCM71/CLKIN_IBUFG_INST' and BUFG
   'Inst_DCM100/CLKFX_BUFG_INST' on net 'clk100' are lined up in series. Buffers
   of the same direction cannot be placed in series.
ERROR:NgdBuild:924 - input pad net 'clk100' is driving non-buffer primitives:

Then all you need to do is comment out the following lines from your DCM.vhdl file:

  -- CLKIN_IBUFG_INST : IBUFG
  --    port map (I=>CLKIN_IN,
  --              O=>CLKIN_IBUFG);

and replace CLKIN_IBUFG with CLK_IN in the port map, and remove the other CLKIN_IBUFG references.