Difference between revisions of "FPGA Clock/Trigger generator"

From Tech
Jump to navigationJump to search
(Created page with "First, for the differential signals, (information copied from [http://hamsterworks.co.nz/mediawiki/index.php/High_Speed_Link Hamster Code]] <nowiki>NET test_sig_out_n LOC = "P1…")
 
Line 8: Line 8:
   
 
Then, do drive the signals, use in VHDL:
 
Then, do drive the signals, use in VHDL:
<nowiki>output_buffer: OBUFDS generic map ( IOSTANDARD => "LVDS_25") port map (
+
<nowiki>output_buffer: OBUFDS
  +
generic map ( IOSTANDARD => "LVDS_25")
  +
port map (
 
O => test_sig_out_p,
 
O => test_sig_out_p,
 
OB => test_sig_out_n,
 
OB => test_sig_out_n,
 
I => test_sig_out
 
I => test_sig_out
 
);
 
);
input_buffer : IBUFDS generic map (
+
input_buffer : IBUFDS
  +
generic map (
 
DIFF_TERM => TRUE,
 
DIFF_TERM => TRUE,
 
IBUF_DELAY_VALUE => "0",
 
IBUF_DELAY_VALUE => "0",

Revision as of 20:46, 20 May 2013

First, for the differential signals, (information copied from Hamster Code]

NET test_sig_out_n LOC = "P12"; # C12
NET test_sig_out_p LOC = "P11"; # C11

NET test_sig_in_p LOC = "P15"; # C13
NET test_sig_in_n LOC = "P16"; # C14

Then, do drive the signals, use in VHDL:

output_buffer: OBUFDS 
   generic map ( IOSTANDARD => "LVDS_25") 
   port map (
      O => test_sig_out_p, 
      OB => test_sig_out_n,
      I => test_sig_out    
   );
input_buffer : IBUFDS 
   generic map (
      DIFF_TERM => TRUE,
      IBUF_DELAY_VALUE => "0", 
      IFD_DELAY_VALUE => "AUTO",
      IOSTANDARD => "LVDS_25")
   port map (
      O  => test_sig_in,   -- Buffer output
      I  => test_sig_in_p, -- Diff_p buffer input (connect directly to top-level port)
      IB => test_sig_in_n  -- Diff_n buffer input (connect directly to top-level port)
   );